如何浅析UVM概念中的raise/drop objection

如何浅析UVM概念中的raise/drop objection,针对这个问题,这篇文章详细介绍了相对应的分析和解答,希望可以帮助更多想解决这个问题的小伙伴找到更简单易行的方法。

UVM中,component的task phase是消耗仿真时间的,各个components需要在不同层次的task phase中同步信息。

UVM中通过objection mechanism来控制phase的执行,通过raise or drop objection来决定phase中事件的开始和停止。

当程序根据phase执行顺序,进入到某一个phase中时,它会收集此phase提起的所有的objection(每个component都可以raise objection)

当这个phase中所有的objection都被撤销(drop)之后,那么这个phase就执行结束。

components或sequence将在验证行为的开始 raise phase objection,在验证行为完成后drop phase  objection。一旦phase里所有的objection都被dropped,该phase就结束了。

考虑一个例子,agent完成读写操作。通常可以在sequence 或者test中添加raise/drop objection。

Objection in sequence

class wr_rd_seq extends uvm_sequence#(mem_seq_item);  
task pre_body();    
// raise objection if started as a root sequence    
if(starting_phase!= null)      
starting_phase.raise_objection(this);  endtask   task body();    
`uvm_do_with(req,wr_en==1);    
`uvm_do_with(req,rd_en==1);  
endtask   task post_body();    
// drop objection if started as a root sequence    
if(starting_phase!= null)      
starting_phase.drop_objection(this);  
endtaskendclass

在uvm_sequence中有一个starting_phase成员,它是uvm_phase类型。如果我们通过设置run phase的default sequence来启动一个sequence,那么会默认将run phase的phase传递给这个sequence的starting_phase.

Objection in test

如果需要在test中显式启动sequence,即在test中添加objection。

class wr_rd_test extends uvm_test; ...  
task main_phase(uvm_phase phase);    
phase.raise_objection(); 
//rasing objection      wr_rd_seq.start(mem_agent.sequencer);    
phase.drop_objection();  
//droping objection  endtask     endclass

在test中显式启动sequence时, starting_phase的值是null。

关于如何浅析UVM概念中的raise/drop objection问题的解答就分享到这里了,希望以上内容可以对大家有一定的帮助,如果你还有很多疑惑没有解开,可以关注蜗牛博客行业资讯频道了解更多相关知识。

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:niceseo99@gmail.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

评论

有免费节点资源,我们会通知你!加入纸飞机订阅群

×
天气预报查看日历分享网页手机扫码留言评论电报频道链接